Home / News / Intel 1nm chip production time exposed! Leading TSMC

Intel 1nm chip production time exposed! Leading TSMC

2024-03-01 14:26:51

According to Intel's latest roadmap, the previously unannounced Intel 10A (1nm class) will begin development or production (non-mass production) by the end of 2027, marking the arrival of the company's first 1nm node. Its Intel 14A (1.4nm class) node will go into production in 2026. If this scenario materializes, Intel could be ahead of rival TSMC, which is expected to adopt 2nm by 2025 or 2026, followed by 1.4nm.

Keyvan Esfarjani, Intel's executive vice President and general manager of Foundry Manufacturing and Supply, held a session that covered the company's latest developments and showed how the roadmap for the next few years is unfolding.

Intel's "Five nodes in four years" strategy indicates that the Intel 18A process will launch in 2025, and then continue to pursue an aggressive node progression strategy.

Intel hasn't revealed any details about the Intel 10A (1nm class) node, but says the new node will bring at least double-digit power/performance improvements. Intel CEO Pat Gelsinger said that the improvement of a new node is about 14 to 15 percent, so we can expect at least the same degree of improvement from the Intel 10A compared to the Intel 14A.

According to the Intel roadmap, the Intel 14A will also get "feature extensions" in 2027, so it seems that the Intel 10A will arrive somewhere between the Intel 14A and the Intel 14A-E.

The Intel 4 and Intel 3 processes are not building capacity as fast as the Intel 20A and Intel 18A because most of the business in the company's third-party foundry business comes from the Intel 18A node, which Intel says is on schedule. With the transition to EUV-enabled nodes, Intel will also steadily reduce the overall production of 14nm, 10nm, Intel 7 (10nm enhanced) and 12nm nodes.

Notably, Intel also specifically notes that the final size, pace, and flow of the roadmap depends on business conditions and incentives, reflecting the company's current statement that funding from the Chip and Science Act will impact its ability to produce at scale.

On the technical side, the Intel 20A process integrates two new technologies simultaneously - backside power supply (PowerVia) and GAA transistors (RibbonFET). To reduce process risk and avoid missteps like 10nm, Intel announced in April 2022 that it has run a different type of Intel 20A through its fabs, an internal test node with only backside power paired with standard FINFETs to ensure that backside power works separately before being integrated into the final Intel 20A node. As a result, we can expect the Intel 20A to have been in production in Intel fabs for quite some time.

Intel will also aggressively increase its advanced packaging capacity for Foveros, EMIB, SiP (Silicon photonics) and HBI (Hybrid bonded Interconnect). Advanced packaging capacity has been a key bottleneck in the current shortage of artificial intelligence (AI) accelerators. The increased capacity will ensure a steady supply of advanced chips with complex packages, including HBM.

The increase in Intel's advanced packaging capacity will be explosive in the future. Intel, which recently completed all internal packaging work using standard packaging, is now fully committed to advanced packaging and will use OSAT (Outsourced Assembly and Test Company) to perform standard packaging tasks.

Keyvan Esfarjani also shared detailed information about Intel's global business. In addition to existing facilities, the company plans to invest $100 billion in expansion and new production sites over the next five years.

The Intel 18A will be manufactured at Fab 52 and Fab 62 in Arizona. In contrast, advanced packaging and 65nm foundry operations for Tower semiconductors will be conducted at Fab 9 and Fab 11X in New Mexico. Intel did not say where it plans to build the Intel 10A node, and will also expand in Ohio, Israel, Germany, Malaysia and Poland.

This layout of production capacity in chip manufacturing and packaging enables Intel to operate globally, while also giving its foundry customers the option of leveraging a supply chain entirely located in the United States.

At the same time, Intel will continue to actively seek out all potential customers, and Stu Pann, senior vice president and general manager of Intel Foundry Services, is tasked with making Intel Foundry the second largest foundry in the world by 2030.

Intel reiterated its commitment to AI at every stage of the chip production process in the future. In addition to using artificial intelligence for design and prediction tasks, "collaborative robots" that assist humans in the manufacturing process are also envisioned.

Intel CEO Pat Gelsinger said in a recent interview that the entire company is betting on the Intel 18A process. He reiterated the importance of the Intel 18A process to Intel, more strongly than he said late last year. Intel says its Intel 18A process is comparable in performance and transistor density to the 1.8nm process from its friends.

"I bet the entire company on the Intel 18A. Everything is based on the Intel 18A process." Kissinger says it in a matter-of-fact way.

The Intel 18A production process ranks fifth on Intel's accelerated roadmap to return to technology leadership. We've already seen Intel 7 in Alder Lake and Raptor Lake cpus, while Intel 4 was just launched with Meteor Lake chips late last year. The Intel 20A is expected to launch with the Arrow Lake CPU family later this year, followed by the Intel 18A node in 2025.

But why is the Intel 18A more important than any other node? Part of the reason has to do with the advanced technology it promises. The Intel 18A will provide power on the back (PowerVia). For Kissinger, backside power is a laudable moment for the chip industry.

Another reason the Intel 18A is so important to Intel is that it's a prime target node for Intel's plans to win back customers from companies like TSMC. Intel plans to become the world's second largest customer foundry by 2030, overtaking current No. 2 Samsung.

Microsoft is already a customer of Intel's 18A node, along with Ericsson, Siemens, and others.